Advanced Packaging: Strong Momentum Driven by TSMC, Intel and Samsung

Article By : Yole Développement

Advanced packaging (AP) is moving from a package substrate platform to silicon, a shift that is providing opportunities for giants like TSMC, Intel, and Samsung to flex their muscles in the AP segment and emerge as key innovators of new AP technology...

Once the traditional, exclusive domain of OSATs and IDMs, today a paradigm shift is occurring in the assembly / packaging segment of the semiconductor manufacturing supply chain. Players from different business models (foundries, substrate/PCB suppliers, EMS/ODMs) are entering this market and cannibalizing OSATs’ share.

Advanced packaging (AP) is moving from a package substrate platform to silicon, a shift that is providing opportunities for giants like TSMC, Intel, and Samsung to flex their muscles in the AP segment and emerge as key innovators of new AP technology. TSMC especially has emerged as the leader in terms of developing an innovative advanced packaging platform from fan-out (InFO) to 2.5D Si interposer (CoWoS), to 3D SoIC. Based on current packaging revenue rankings, TSMC is #4 among OSATs.

Meanwhile, other top OSATs such as ASE/ SPIL, Amkor, and JCET are investing in various advanced SiPs and fan-out technology to gauge their competition and increase their advanced packaging market share. IC substrate & PCB manufacturers, EMS companies, and display industry players are also entering the AP arena via panel-level fan-out packages, SiPs, and embedded dies (and passives) in organic substrates. This trend will continue in 2020 and beyond.

Strong growth continues in the advanced packaging market

“Advanced packaging has entered its most successful era boosted by the need for better integration, the slowdown of Moore’s law and, beyond that, specific industry mega-drivers,” asserts Santosh Kumar, Principal Analyst & Director Packaging, Assembly & Substrates, Yole Korea from Yole Développement (Yole). “These new trends create business opportunities for the various packaging platforms. Indeed, advanced packaging technologies are ideal for fulfilling the various performance requirements and complex heterogeneous integration needs…”

Yole and System Plus Consulting recently organize, in collaboration with NCAP China their annual advanced packaging symposium, SYNAPS. Dr. Cao Liqiang, GM of NCAP CHINA states: “2020 is a tough year for the industry with lots of challenges. But just like the ancient poetry says “To the water’s ending, See the clouds’ rising”, we are still full of confidence.

Notwithstanding the impact of COVID-19, the passion for AI, 5G and HPC has never faded, and we anticipate that the semiconductor market will recover soon and continue to prosper. Yole and NCAP China wish to promote international communication and global cooperation in the advanced packaging industry to boost the global semiconductor industry by organizing SYNAPS 2020 . ”

The total IC packaging market was worth US$68 billion in 2019. In parallel, the advanced packaging industry was US$29 billion in 2019 and is expected to grow at a CAGR2019-2025 of 6.6% to reach US$42 billion in 2025, states Yole in its new Status of the Advanced Packaging Industry report, 2020 edition. Due to the strong momentum in the advanced packaging market driven by a slowing Moore’s Law and heterogeneous integration along with various megatrends including 5G, AI, HPC, IoTs, etc. the share of advanced packaging in the overall semiconductor market is increasing continuously. According to Yole, it will reach almost 50% of the market by 2025.

At the same time, the raditional packaging market will grow at CAGR2019-2025 of 1.9% and total packaging market will grow at CAGR2019-2025 of 4% to reach US$43 billion and US$85 billion, respectively.

“Due to COVID-19 pandemic, the global advanced packaging market will decrease by 7% in 2020, while the traditional packaging market will decrease by 15%,” adds Santosh Kumar from Yole.

3D/2.5D stacking and fan-out have emerged as the fastest-growing advanced packaging platforms

The highest revenue CAGR is expected from 3D stacking platforms with 21% CAGR between 2019 and 2025. Following after, over the same period, Yole’s advanced packaging team identify Embedded Die and Fan-Out, with CAGRs of 18% and 15.9%, respectively. High volume products will, therefore, further penetrate the market: Fan-Out in mobile, networking and automotive; 3D stacking in AI/ML, HPC, datacenters, CIS, MEMS/sensors; And Embedded Die in mobile, automotive and base stations.

Ruurd Boomsma, Besi’s CTO comments: “A new era of advanced packaging is at our doorstep. From advanced flip-chip and fan out technologies, now new and more advanced technologies are needed for assembly of double-sided SiP, as well new TCB and hybrid bonding solutions for complex heterogenous packaging are required. This in combination with different carriers for Known Good dies (trays, TnR, reconstructed wafer) and other interposers (wafer, panel, substrate) opens opportunities for new, innovative and cost effective, equipment that offer very high accuracy, high yield and high speed. We see the demand for equipment that offers solutions to these industry demands growing strongly and ha ve a clear focus on supplying such solutions in a timely way.”

Regarding market segments, mobile & consumer revenue constituted 85% of the total advanced packaging revenue in 2019. Yole forecasts a 5.5% CAGR between 2019 and 2025 by when it will generate 80% of the advanced packaging revenue.

Telecom & infrastructure is the fastest growing segment (~13%) of advanced packaging market by revenue and it’ll increase its market share from 10% in 2019 to 14% by 2025. Automotive & transportation segment will grow at 10.6% CAGR between 2019 and 2025 to reach about US$1.9 billion in 2025. However, its market share, within the advanced packaging market, will remain flat, to reach at about 4%.

Virtual Event - PowerUP Asia 2024 is coming (May 21-23, 2024)

Power Semiconductor Innovations Toward Green Goals, Decarbonization and Sustainability

Day 1: GaN and SiC Semiconductors

Day 2: Power Semiconductors in Low- and High-Power Applications

Day 3: Power Semiconductor Packaging Technologies and Renewable Energy

Register to watch 30+ conference speeches and visit booths, download technical whitepapers.

Subscribe to Newsletter

Leave a comment